智能车制作

标题: TSL1401线阵CCD [打印本页]

作者: RENL    时间: 2013-4-24 23:43
标题: TSL1401线阵CCD
TSL1401线阵CCD需要驱动电路吗?还是直接啊?找一资料上说,TSL1401线阵CCD是用LM1881视频图像信号分离和二值化比较,接入单片机,使其产生PWM脉冲波,接给电机调速和舵机转向?可是那不是面阵CCD吗?
作者: RENL    时间: 2013-4-24 23:45
求大神指导?基本上就差这么一块了!!
作者: jack_channel    时间: 2013-4-25 11:36
LM1881?场行分离?就一行,不需要了
作者: RENL    时间: 2013-4-25 14:01
需要驱动电路吗?线阵CCD采集的信号怎么处理啊?不会是直接接单片机吧?
作者: make1573    时间: 2013-12-11 22:45
楼主解决问题了吗?同求啊
作者: lxw_potato    时间: 2014-3-25 22:07
不需要,5个引脚接上直接驱动就行了!




欢迎光临 智能车制作 (http://dns.znczz.com/) Powered by Discuz! X3.2