智能车制作

 找回密码
 注册

扫一扫,访问微社区

查看: 26963|回复: 68
打印 上一主题 下一主题

MC9S12XS128系列例程--IOC/ECT

  [复制链接]

80

主题

1045

帖子

0

精华

跨届大侠

北京龙邱智能科技有限公司

Rank: 10Rank: 10Rank: 10

积分
10332

论坛元老奖章

QQ
威望
2905
贡献
6151
兑换币
4515
注册时间
2008-6-7
在线时间
638 小时
跳转到指定楼层
#
发表于 2009-3-3 17:02:07 | 只看该作者 回帖奖励 |正序浏览 |阅读模式
本帖最后由 chiusir 于 2009-4-21 20:16 编辑

/**************************************************************************************
龙丘MC9S12X多功能开发板V1.0  
Designed by 龙丘
E-mail:chiusir@163.com      
软件版本:V1.1
最后更新:2009年2月21日           
相关信息参考下列地址:
博客:  http://longqiu.21ic.org
淘宝店:http://shop36265907.taobao.com
------------------------------------
Code Warrior 4.7
Target : MC9S12XS128
Crystal: 16.000Mhz
busclock:16.000MHz
pllclock:32.000MHz  
============================================
本程序主要包括以下功能:
1.设置锁相环和总线频率;
2.IO口使用;
3.IOC7口16位计数器。
LED计数,根据灯亮可以读取系统循环了多少次
*****************************************************************************************/
#include <hidef.h>      
#include <MC9S12XS128.h>
#include <stdio.h>
#pragma LINK_INFO DERIVATIVE "mc9s12xs128"
//-----------------------------------------------------
void setbusclock(void)
{   
    CLKSEL=0X00;    // disengage PLL to system
    PLLCTL_PLLON=1;   // turn on PLL
    SYNR=0x00 | 0x01;  // VCOFRQ[7:6];SYNDIV[5:0]
                        // fVCO= 2*fOSC*(SYNDIV + 1)/(REFDIV + 1)
                        // fPLL= fVCO/(2 × POSTDIV)
                        // fBUS= fPLL/2
                        // VCOCLK Frequency Ranges  VCOFRQ[7:6]
                        // 32MHz <= fVCO <= 48MHz    00
                        // 48MHz <  fVCO <= 80MHz    01
                        // Reserved                  10
                        // 80MHz <  fVCO <= 120MHz   11   
    REFDV=0x80 | 0x01;  // REFFRQ[7:6];REFDIV[5:0]
                        // fREF=fOSC/(REFDIV + 1)
                        // REFCLK Frequency Ranges  REFFRQ[7:6]
                        // 1MHz <= fREF <=  2MHz       00
                        // 2MHz <  fREF <=  6MHz       01
                        // 6MHz <  fREF <= 12MHz       10
                        // fREF >  12MHz               11                        
                        // pllclock=2*osc*(1+SYNR)/(1+REFDV)=32MHz;
    POSTDIV=0x00;       // 4:0, fPLL= fVCO/(2xPOSTDIV)
                        // If POSTDIV = $00 then fPLL is identical to fVCO (divide by one).
    _asm(nop);          // BUS CLOCK=16M
    _asm(nop);
    while(!(CRGFLG_LOCK==1));   //when pll is steady ,then use it;
    CLKSEL_PLLSEL =1;          //engage PLL to system; }
//-----------------------------------------------------
static void SCI_Init(void)
{
    SCI0CR2=0x2c; //enable Receive Full Interrupt,RX enable,Tx enable
    SCI0BDH=0x00; //busclk  8MHz,19200bps,SCI0BDL=0x1a
    SCI0BDL=0x68; //SCI0BDL=busclk/(16*SCI0BDL)                  
                  //busclk 16MHz, 9600bps,SCI0BDL=0x68                  
}                 
void uart_putchar(unsigned char ch)
{
  if (ch == '\n')  
  {
      while(!(SCI0SR1&0x80)) ;     
      SCI0DRL= 0x0d;            //output'CR'
     return;
  }
  while(!(SCI0SR1&0x80)) ;       //keep waiting when not empty  
  SCI0DRL=ch;
}
void putstr(char ch[])
{
  unsigned char ptr=0;
  while(ch[ptr]){
      uart_putchar((unsigned char)ch[ptr++]);
  }
}
//-----------------------------------------------------  
static void IOC_Init(void)
{   
    PACTL=0X50;//PT7 PIN,PACN32 16BIT,FALLing edge,NOT INTERRUPT
    TCTL3=0xc0;//c-输入捕捉7任何沿有效,            
    TCTL4=0xc0;//40表示ICx禁止, 1表示上升沿, 2表示下降沿, 3表示任何沿      
    TIE  =0x00;//每一位对应相应通道中断允许,0表示禁止中断
    TIOS =0x00;//每一位对应通道的: 0输入捕捉,1输出比较
    TCTL3_EDG7x=1;//c-输入捕捉7任何沿有效,
}  
//-----------------------------------------------------  
void Dly_ms(int ms)
{
   int ii,jj;
   if (ms<1) ms=1;
   for(ii=0;ii<ms;ii++)
     for(jj=0;jj<2670;jj++);    //busclk:16MHz--1ms
}
void main(void)
{
  char mystr[20]="";
  unsigned char LedCnt=0;
  unsigned int IOCcnt;  
  
  setbusclock();  
  SCI_Init();
  IOC_Init();
  DDRB=0xFF;
  PUCR_PUPBE=1;
  putstr("\nLongQiu s.&t. Co. Ltd.");   
  putstr("\nhttp://shop36265907.taobao.com");
  EnableInterrupts;
  for(;;)
  {   
    LedCnt=(LedCnt>0XFE?0:++LedCnt);
    Dly_ms(1000);   //修改延时以修改数据发送频率
   
    //低电平灯亮用这句,注释掉下面那句
    PORTB=~LedCnt;  
        
    //高电平灯亮用这句,注释掉上面那句   
    //PORTB=LedCnt;
   
    IOCcnt=PACNT;     
    if(IOCcnt>999) PACNT=0;
    sprintf(mystr,"\nIOC pulses:%d",IOCcnt);
    putstr(mystr);
  }
}

0

主题

2

帖子

0

精华

注册会员

Rank: 2

积分
26
威望
24
贡献
2
兑换币
3
注册时间
2015-5-26
在线时间
0 小时
毕业学校
济南大学
68#
发表于 2015-5-26 16:32:38 | 只看该作者
请问资料从哪下载
回复 支持 反对

使用道具 举报

0

主题

2

帖子

0

精华

注册会员

Rank: 2

积分
26
威望
24
贡献
2
兑换币
3
注册时间
2015-5-26
在线时间
0 小时
毕业学校
济南大学
67#
发表于 2015-5-26 16:28:21 | 只看该作者
资料共享。。。。。。。。。。。。。。。。。。
回复 支持 反对

使用道具 举报

0

主题

71

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
1317
威望
625
贡献
462
兑换币
408
注册时间
2014-7-11
在线时间
115 小时
66#
发表于 2014-8-23 15:42:59 | 只看该作者
回复 支持 反对

使用道具 举报

0

主题

71

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
1317
威望
625
贡献
462
兑换币
408
注册时间
2014-7-11
在线时间
115 小时
65#
发表于 2014-8-23 15:42:22 | 只看该作者
en.....
回复 支持 反对

使用道具 举报

4

主题

269

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
3800

活跃会员奖章优秀会员奖章

威望
1918
贡献
1112
兑换币
386
注册时间
2012-3-2
在线时间
385 小时
64#
发表于 2012-6-27 11:18:28 | 只看该作者
回复 支持 反对

使用道具 举报

1

主题

45

帖子

0

精华

高级会员

Rank: 4

积分
693
威望
393
贡献
166
兑换币
14
注册时间
2012-2-25
在线时间
67 小时
63#
发表于 2012-5-19 15:37:55 | 只看该作者
回复 支持 反对

使用道具 举报

0

主题

1

帖子

0

精华

注册会员

Rank: 2

积分
36
威望
101
贡献
25
兑换币
0
注册时间
2012-2-13
在线时间
0 小时
毕业学校
aaa哈哈哈
62#
发表于 2012-2-13 17:03:36 | 只看该作者
回复 支持 反对

使用道具 举报

3

主题

64

帖子

0

精华

高级会员

Rank: 4

积分
935
QQ
威望
563
贡献
204
兑换币
182
注册时间
2012-1-10
在线时间
84 小时
61#
发表于 2012-2-12 17:10:15 | 只看该作者
回复 支持 反对

使用道具 举报

10

主题

82

帖子

0

精华

高级会员

Rank: 4

积分
708
威望
431
贡献
219
兑换币
6
注册时间
2011-11-26
在线时间
29 小时
60#
发表于 2012-1-15 11:47:46 | 只看该作者
谢谢
回复 支持 反对

使用道具 举报

4

主题

240

帖子

0

精华

跨届大侠

Rank: 10Rank: 10Rank: 10

积分
6812

论坛元老奖章

QQ
威望
1268
贡献
4600
兑换币
65
注册时间
2011-10-22
在线时间
472 小时
59#
发表于 2011-12-29 22:10:15 | 只看该作者
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关于我们|联系我们|小黑屋|智能车制作 ( 黑ICP备2022002344号

GMT+8, 2024-9-21 22:40 , Processed in 0.060356 second(s), 37 queries , Gzip On.

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表